skip to main content


Title: DiffTune: Optimizing CPU Simulator Parameters with Learned Differentiable Surrogates
Award ID(s):
1918839 1751011
NSF-PAR ID:
10219621
Author(s) / Creator(s):
; ; ;
Date Published:
Journal Name:
Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
Page Range / eLocation ID:
442 to 455
Format(s):
Medium: X
Sponsoring Org:
National Science Foundation
More Like this
No document suggestions found