skip to main content


Title: Towards Horizontal Heterojunctions for Tunnel Field Effect Transistors with Template Assisted Selective Epitaxy via MOCVD
A unique confined lateral selective epitaxial growth (CLSEG) [1] technique for next generation semiconductor devices was demonstrated in [2, 3] and termed template assisted selective epitaxy (TASE). This technique is based on the formation of hollow confined structures that drive subsequent growth initiation only from a small area of the substrate exposed to the growth environment, dubbed a seed, and continued growth is forced within the template. This allows to arbitrarily determine the shape and orientation of the grown material and to form novel nano-electronic device structures. Here, results are reported on the fabrication of channel-like nanometer sized horizontal structures, and, the subsequent homoepitaxy of indium phosphide (InP) to demonstrate the potential for TASE to create vertical heterojunctions that could enable the next generation of tunnel field-effect transistors (TFETs) [4]. Templates were fabricated with a combination of e-beam lithography, PECVD deposition, resist patterning, and selective wet etches, on (100) n-type InP wafers. Homoepitaxy was done via MOVPE achieving growth selectivity with a growth temperature of 640°C, group III precursor molar rate of 4E-6 mol/min, a V/III ratio of 400. Trimethylindium (TMIn) and tertiarybutylphosphine (TBP) are used as indium and phosphorus precursors respectively. Characterization via scanning electron microscopy (SEM) and transmission electron microscopy (TEM) was employed to determine the success of growth in the template, initiation at the “seed”, area selectivity, faceting at the growth front, and conformality to the template. Each die consisted in a parametric array of structures of varying characteristic sizes that allows, via growth-interrupt trials, to analyze confined growth behavior and how this deviates from bulk epitaxy. Initial data suggests growth rate suppression with increased channel length. MOVPE in these conditions is known to be mass transport limited [5], so this could be explained with the need for the precursors to diffusively cover longer distances.  more » « less
Award ID(s):
1640030
NSF-PAR ID:
10114095
Author(s) / Creator(s):
; ; ; ; ;
Date Published:
Journal Name:
International conference on OMVPE, 2018
Volume:
NA
Issue:
NA
Format(s):
Medium: X
Sponsoring Org:
National Science Foundation
More Like this
  1. Tunneling field effect transistors (TFETs) have gained much interest in the previous decade for use in low power CMOS electronics due to their sub-thermal switching [1]. To date, all TFETs are fabricated as vertical nanowires or fins with long, difficult processes resulting in long learning cycle and incompatibility with modern CMOS processing. Because most TFETs are heterojunction TFETs (HJ-TFETs), the geometry of the device is inherently vertically because dictated by the orientation of the tunneling HJ, achieved by typical epitaxy. Template assisted selective epitaxy was demonstrated for vertical nanowires [2] and horizontally arranged nanorods [3] for III-V on Si integration. In this work, we report results on the area selective and template assisted epitaxial growth of InP, utilizing SiO2 based confined structures on InP substrates, which enables horizontal HJs, that can find application in the next generation of TFET devices. The geometries of the confined structures used are so that only a small area of the InP substrate, dubbed seed, is visible to the growth atmosphere. Growth is initiated selectively only at the seed and then proceeds in the hollow channel towards the source hole. As a result, growth resembles epitaxial lateral overgrowth from a single nucleation point [4], reaping the benefits of defect confinement and, contrary to spontaneous nanowire growth, allows orientation in an arbitrary, template defined direction. Indium phosphide 2-inch (110) wafers are used as the starting substrate. The process flow (Fig.1) consists of two plasma enhanced chemical vapor deposition (PECVD) steps of SiO2, appropriately patterned with electron beam lithography (EBL), around a PECVD amorphous silicon sacrificial layer. The sacrificial layer is ultimately wet etched with XeF2 to form the final, channel like template. Not shown in the schematic in Fig.1 is an additional, ALD deposited, 3 nm thick, alumina layer which prevents plasma damage to the starting substrate and is removed via a final tetramethylammonium hydroxide (TMAH) based wet etch. As-processed wafers were then diced and loaded in a Thomas Swan Horizontal reactor. Successful growth conditions found were 600°C with 4E6 mol/min of group III precursor, a V/III ratio of 400 and 8 lpm of hydrogen as carrier gas. Trimethylindium (TMIn) and tertiarybutylphosphine (TBP) were used as In and P precursors respectively. Top view SEM (Fig.2) confirms growth in the template thanks to sufficient Z-contrast despite the top oxide layer, not removed before imaging. TEM imaging shows a cross section of the confined structure taken at the seed hole (Fig.3). The initial growth interface suggests growth was initiated at the seed hole and atomic order of the InP conforms to the SiO2 template both at the seed and at the growth front. A sharp vertical facet is an encouraging result for the future development of vertical HJ based III-V semiconductor devices. 
    more » « less
  2. This study presents the growth and characterization of an 8.1 μm-emitting, InGaAs/AlInAs/InP-based quantum cascade laser (QCL) formed on an InP-on-Si composite template by metalorganic chemical vapor deposition (MOCVD). First, for the composite-template formation, a GaAs buffer layer was grown by solid-source molecular-beam epitaxy on a commercial (001) GaP/Si substrate, thus forming a GaAs/GaP/Si template. Next, an InP metamorphic buffer layer (MBL) structure was grown atop the GaAs/GaP/Si template by MOCVD, followed by the MOCVD growth of the full QCL structure. The top-surface morphology of the GaAs/GaP/Si template before and after the InP MBL growth was assessed via atomic force microscopy, over a 100 μm2 area, and no antiphase domains were found. The average threading dislocation density (TDD) for the GaAs/GaP/Si template was found to be ∼1 × 109 cm−2, with a slightly lower defect density of ∼7.9 × 108 cm−2 after the InP MBL growth. The lasing performance of the QCL structure grown on Si was compared to that of its counterpart grown on InP native substrate and found to be quite similar. That is, the threshold-current density of the QCL on Si, for deep-etched ridge-guide devices with uncoated facets, is somewhat lower than that for its counterpart on native InP substrate, 1.50 vs 1.92 kA/cm2, while the maximum output power per facet is 1.64 vs 1.47 W. These results further demonstrate the resilience of QCLs to relatively high residual TDD values. 
    more » « less
  3. Vertically-aligned, high aspect ratio In InAsyP1-y, InxAl1-xAs, and core-shell InAsP-InP nanowires (NWs) are grown directly on two-dimensional (2-D) monolayer graphene via seed-free pseudo-van der Waals epitaxy (vdWE), as reported here for the first time. Growth is achieved using metalorganic chemical vapor deposition (MOCVD). By altering growth temperature and molar flow ratio of precursors, the composition Of InAsyP1-y NWs can be tuned within the 1 ≤ y ≤ 0.8 range. Similarly, by tuning the group-III precursor flow rates, InxAl1-x As composition can be modified in the range. NW morphology and NW array number density variances are measured for different ternary compositions as functions of precursor flow rates and growth temperature. 
    more » « less
  4. Self-assembly of vertically aligned III–V semiconductor nanowires (NWs) on two-dimensional (2D) van der Waals (vdW) nanomaterials allows for integration of novel mixed-dimensional nanosystems with unique properties for optoelectronic and nanoelectronic device applications. Here, selective-area vdW epitaxy (SA-vdWE) of InAs NWs on isolated 2D molybdenum disulfide (MoS 2 ) domains is reported for the first time. The MOCVD growth parameter space ( i.e. , V/III ratio, growth temperature, and total molar flow rates of metalorganic and hydride precursors) is explored to achieve pattern-free positioning of single NWs on isolated multi-layer MoS 2 micro-plates with one-to-one NW-to-MoS 2 domain placement. The introduction of a pre-growth poly- l -lysine surface treatment is highlighted as a necessary step for mitigation of InAs nucleation along the edges of triangular MoS 2 domains and for NW growth along the interior region of 2D micro-plates. Analysis of NW crystal structures formed under the optimal SA-vdWE condition revealed a disordered combination of wurtzite and zinc-blend phases. A transformation of the NW sidewall faceting structure is observed, resulting from simultaneous radial overgrowth during axial NW synthesis. A common lattice arrangement between axially-grown InAs NW core segments and MoS 2 domains is described as the epitaxial basis for vertical NW growth. A model is proposed for a common InAs/MoS 2 sub-lattice structure, consisting of three multiples of the cubic InAs unit cell along the [21̄1̄] direction, commensurately aligned with a 14-fold multiple of the Mo–Mo (or S–S) spacing along the [101̄0] direction of MoS 2 hexagonal lattice. The SA-vdWE growth mode described here enables controlled hybrid integration of mixed-dimensional III–V-on-2D heterostructures as novel nanosystems for applications in optoelectronics, nanoelectronics, and quantum enabling technologies. 
    more » « less
  5. Bi2NiMnO6(BNMO) epitaxial thin films with a layered supercell (LSC) structure have emerged as a promising single‐phase multiferroic material recently. Because of the required strain state for the formation of the LSC structures, most of the previous BNMO films are demonstrated on rigid oxide substrates such as SrTiO3and LaAlO3. Here, the potential of BNMO films grown on muscovite mica substrates via van der Waals epitaxy, spotlighting their suitability for cutting‐edge flexible device applications is delved. Comprehensive scanning transmission electron microscopy/energy‐dispersive X‐ray analyses reveal a layered structure in the BNMO film and a pristine interface with the mica substrate, indicating high‐quality deposition and minimal interfacial defects. Capitalizing on its unique property of easily cleavable layers due to weak van der Waals forces in mica substrates, flexible BNMO/mica samples are fixed. A standout feature of the BNMO film grown on mica substrate is its consistent multiferroic properties across varied mechanical conditions. A novel technique is introduced for thinning the mica substrate and subsequent transfer of the sample, with post‐transfer analyses validating the preserved structural and magnetic attributes of the film. Overall, this study illuminates the resilient multiferroic properties of BNMO films on mica, offering promising avenues for their integration for next‐generation flexible electronics.

     
    more » « less